0
I Use This!
Inactive

Commits : Listings

Analyzed about 13 hours ago. based on code collected about 23 hours ago.
May 15, 2023 — May 15, 2024
Commit Message Contributor Files Modified Lines Added Lines Removed Code Location Date
Some PS2 debugging routines added More... over 20 years ago
lcd_busy() is now following the hd44780 standard. More... over 20 years ago
- finding no consequtive dirty position should be a little more efficient More... over 20 years ago
- LCD lineaddresses cleaned for 16 and 20 col displays and configurable for special - stripped offending ifdef from config_t More... over 20 years ago
- some new settings for LCD (delay etc..) More... over 20 years ago
- give more time to the LCD for pulling the busy flag More... over 20 years ago
- stop dangling m->tab - some ifdef changes around benchmark - debug_t and debug_init() is always there More... over 20 years ago
- LCD-cablelength (delay before enable and length of enable pulse) is in config_t More... over 20 years ago
Buck-free fuelcut. When throttle is closed, the injectors will be turned off if rpm > threshold. Injectors are turned on again, when rpm < another_threshold. More... over 20 years ago
- comm_auto_dump frequency and mask settable from menu - new menu-states for generic number-entry MENU_num and MENU_num_x More... over 20 years ago
A normal keypress was ignored after pressing an extended key More... over 20 years ago
- some lcd_table() improvements - bugs remained: c28c28 (value is written after 2nd command - except after autostep??) - ? More... over 20 years ago
- my silly lcd_clr_to_end infloop bug (thx 2 Mik) More... over 20 years ago
- lcd_table() cleaned for 1-dimension tables, 2-dimension tables and 'c' More... over 20 years ago
- made menu ml. so the lcd functions are gathered mla:address-test, mld:dirty, mll:lcd_cache via rs322 mli:init More... over 20 years ago
- lcd_init_seq fixed (do not spend so much time, except in ADDRESS_TEST) More... over 20 years ago
- lcd address test (from scratch and added to menu) - config_t element for lcd line addresses More... over 20 years ago
- menu_t contains a uint8_t *tab now - some clear-spaces after the config_t comments More... over 20 years ago
- fixed lineending garbage on 16col display by dropping the ':' at the line beginning and increasing the number of displayed numbers More... over 20 years ago
First-aid to an ill pointer in the "magic" backtracking while loop. More... over 20 years ago
- dereferencing typo in magicstr... More... over 20 years ago
- too few chars displayed for lcd_cache2comm from benchmark.c More... over 20 years ago
- line position was not advanced correctly in mtt More... over 20 years ago
Fixed a minor bug in the arrow-key handling. More... over 20 years ago
Fixed the scancodes. More... over 20 years ago
- table dimension cached in menu_t (written at the same time when table selected) - added arrowkeys to position the cursor in menu mtt More... over 20 years ago
Fixed a small bug in lcd_refresh() More... over 20 years ago
New feature: Two stage EGO controller! More... over 20 years ago
- the lcd_waitmode busywait hacks are gone forever More... over 20 years ago
- lcd_t created (we had lotsof variables scattered) - lcd_init_seq changed a bit (less global variables) - lcd_do_something does not call lcd_refresh any longer (called from 10ms, unless init_seq is active) - lcd_refresh() from mainloop More... over 20 years ago